期刊大全 杂志订阅 SCI期刊 投稿指导 期刊服务 文秘服务 出版社 登录/注册 购物车(0)

首页 > 精品范文 > 生命时钟的知识

生命时钟的知识精品(七篇)

时间:2023-10-12 09:49:55

序论:写作是一种深度的自我表达。它要求我们深入探索自己的思想和情感,挖掘那些隐藏在内心深处的真相,好投稿为您带来了七篇生命时钟的知识范文,愿它们成为您写作过程中的灵感催化剂,助力您的创作。

生命时钟的知识

篇(1)

【中图分类号】G 【文献标识码】A

【文章编号】0450-9889(2012)12A-0056-01

一寸光阴一寸金,寸金难买寸光阴。说的是我们生命当中的分分秒秒都是珍贵的,是用任何东西都无法与之交换的。而它的珍贵与它自身的价值意义相同。从某种程度上来看,时间贯穿在我们的生命长度之中,我们在时间的维度中创造价值。所以教师要让学生了解时间的价值含义,这是进行“时、分、秒”教学的最终目标,而在这一过程中,教师首先要让学生了解“时、分、秒”作为时间单位的浅显意义。

一、创设情境,进行形象教学

“时、分、秒”这三个时间元素是比较抽象的时间概念,对于小学生来说,仅凭教师的讲解是很难使之对时间有具体明晰的认识的,所以教师要兼顾课堂内容特点和学生自身接受知识的特点,选择行之有效的教学方法。

对于这一阶段的学生来说,他们的形象思维能力较强,对那些外化的东西比较容易感知并接受。所以,教师应该为学生营造形象、生动、有趣的认知情境空间,让学生更直观地去感知“时、分、秒”这三个时间元素的意义,使学生在观察、欣赏、动手、玩乐过程中感受到时间在不间断地流逝。

首先是对时间进行整体认知。在这一环节中,教师可以利用多媒体,将正在滴答滴答响着的时钟展示在小学生的面前,然后让他们屏气凝神感受时间分分秒秒地流逝。这时候学生的身心都是安静的,他们会感受到超越数学之外的时间的意义。而后教师再让学生观察时针、分针、秒针的变化过程,继而了解时、分、秒这三个时间元素的层次递进关系:时高于分和秒,分又由秒累积而成,秒是最小的时间单位。

其次是对“秒”这一时间单位的认知。教师可以从生活中具体的例子形象地引出“秒”这一时间单位。例如,教师可以模拟春节晚会的跨年倒计时,让学生在进行“10、9、8、7、6……”倒数之后敲响书桌代替跨年的钟声,学生在倒数、敲击桌椅的过程中找到乐趣,再在教师的引导下,对“秒”这一概念有所掌握。

第三是对“分”这一时间单位的认知。由于分是由秒累积而成的,所以基于这一点,教师在进行“分”教学的时候,首先要利用时钟由“秒”过渡到“分”。这一环节,教师可以先让学生观察多媒体显示的时钟,探究秒与分的关系。通过观察,学生可以得知秒针绕时钟转了一圈,分针才挪动一小格。为了更明晰地观察分针、秒针的变化,教师可以让学生动手用纸片做一个模拟的时钟。学生在手工制作的过程中,去明确“分”这一单位概念以及“分”与“秒”的差别。

最后是对“时”这一时间单位的认知。时是最大的时间单位。“秒”累积成“分”,“分”又积累成“时”,所以教师在进行“时”教学的时候要联系“分”,将分秒的兑换方法运用到“分”与“时”上。

二、运用形象演示,进行“时、分、秒”课堂练习

课堂练习对刚刚接触的新知识具有巩固的作用,所以教师在讲解完“时、分、秒”这一知识内容之后,要对学生进行相关知识的训练。在这一环节中,教师可以采用击鼓传钟的方法。教师首先要找一个击鼓人,当鼓声响起,学生就开始将正常运行的钟依序逐个传递,当鼓声停止,时钟落到哪位学生手中,就由哪位学生读出时间。在这一环节中,教师是引导者,需核对学生读出的时间正确与否。在这一游戏过程中,学生的学习兴趣被激发,为更有效地学习“时、分、秒”奠定了基础。这一方法的运用,比做大量的习题更有效。

在进行“时、分、秒”课堂练习的时候,教师还可以在黑板上写出具体时间,然后让学生在黑板上画出与这一时间相对应的钟表。这对学生逆向思维的培养有重要作用。可以说,形象演示教学给学生营造了一个丰富、有活力的数学学习空间,学生根据形象思维对“时、分、秒”这一知识进行具体的理解,并在教师的引导下感知时间概念。

篇(2)

关键词:精选内容;教材教法;电路制作

在当前的中职教育中,专业教学应与当地经济发展相适应,与社会发展同步,紧跟当前科技发展,为学生就业铺路。专业课程设置与教学内容合理,教育才能发展,所以对专业课程教学内容进行必要的选材是十分重要的,而且还要精选,这样才能适应当前社会经济发展的需要,职业教育才有生命力。

由于目前中职教育电子类课程教材较多,各大出版社都有相关的职中电子教材,但选择一套紧跟当前科技发展和适应当地经济发展情况的教材就较小,有些版本内容及知识明显滞后社会现实,跟不上现代科技发展。例如一些课本还大篇幅内容为AM型超外差式收音机等过时知识,目前市场上很小或根本就没有这些中波段AM类收音机产品,只有FM或立体声等收音机产品,学生学会AM类收音机也没有多大作用,其二,学生在学校所学的知识和技能,都希望能够解决日常生活中的一些实际问题,或对今后的工作有所帮助。所以要顺应社会和学生,教学选材内容是关键。

选取教学内容应有一个既定的方向,重点选材方向是紧扣当前科技发展形势,拼弃过时的产品讲解,贴近本土企业生产需求,适当结合中职生特点,少选一些高深理论或较难推导的计算公式及图表等教材,实现教学与科技同步,教学与生产、与社会同步。下面是笔者多年电子课教学选材与教法的一些做法,希望能对中职电子课程教学和选材有所帮助。

一、以实操为主线选内容

目前电子实操内容有很多,如各类电子制作,单元电路,功能模块电路等,这些都是实操性很强的实验电路,如何从这些电路中选取有代表性的单元电路,让学生在实操中有兴趣,不感到实操有难度,且通过实操过程形成技能。

例如电子学中有一振荡时间常数公式为T=RC,具体应用中许多学生不明白,笔者选用功能电路实验来帮助学生记忆时间常数公式,实验电路为典型的多谐振荡器电路,电路如图1。

这个多谐震荡电路极具趣味,电路结构简单,可观性强,选材方便,学生制作非常容易,成功率高。电路工作原理也容易明白,当电路接通电源时,电流通过R1对C1进行充电,C1电压提高,当满足三极管Q 1导通时,C1电流通过三极管放电。循环工作,形成震荡。R2、C2、Q2工作原理也一样。如果改变R1或C1的参数,则震荡周期也会改变,电路的发光二极管闪烁也跟随变化闪亮,电路显示直观,学生对公式T=RC这个物理意义的理解就不会感到困难,容易记忆。在这个电路教学中,平时较差的学生也能完成这个电路制作,效果较好。这电路虽然只有几个电阻,但是电阻都是色环电阻,学生在辨别色环电阻时也需要一番功夫,色环电阻的教学学生平时很难记住色环对应的阻值,在这里通过闪烁的快慢来记忆色环的数值,一举两得,达到事半功倍的效果。

二、以实用性原则选材

中职教材多以实操实验为主,实验制作多,在众多的实用电路中,可结合学生的实际进行选取实验电路,如较实用的电路中有简易自动抽水装置电路,这一电路选材时考虑是基于有一部分学生是农村生,农村有部分水池或水井需经常抽水,所以选这自动抽水装置电路作为代表。该电路特点是实用性极强,能有全自动抽水功能,经济实用。电路使用元件小,工作性能稳定,故障率极少,维修方便。且安装简单,凡有需要自动抽水的的地方都可安装这个电路。该电路如图2。

电路工作原理:当水池水位低于C端时,三极管Q1基极没有电流,三极管Q1截止,Q2导通,继电器K1闭合,同时辅助触头K2断开,电机得电工作抽水,当水池水位上升至B点,则三极管Q1导通,Q2截止,K1断开,水泵停止抽水,K2闭合,维持在低水位状态,只有当水位下降到低于C点时,电路又重复以上工作过程。

学生在学习安装该电路时,主要对图选好元件,照图安装,基本都能成功。在指导学生电路安装时,注重安装工艺教学,令学生完成一个电路制作就是一件好的产品,能够使用,真正是学以致用,学以实用。几年前,有的学生在家安装这个自动抽水电路,到现在,已使用有五年多,电路一直工作正常。所以,选材教学是要以解决学生的实际问题为原则。

三、选材要适合学生现有的知识水平

作为一名电子专业教师,教学上不与时俱进,不精心选取教学内容,教学很难有长进,平时有教师教电子数字电路时觉得特别难教,其实这是一个没有适当、合理的选材内容教学的问题。教学时只是对电路的与非门,脉冲波形,时序图,卡诺图等作理论教学,少配实操训练,所以学生当然就难学难记。诚然,主要教之有法,辅以实操,数字电路教学也是很容易的。笔者的选材与教法学生就特别有兴趣,在时序与脉冲内容的教学,笔者采用制作法,因学生已有模拟电路基础和电路制作能力,一般的电路制作,学生安装与调试,并不感到有太大的难度。所以先选一个用发光二极管七段显示数字时钟电路进行制作。(图3)为七段二极管一位数字显示,(图4)为时钟电路原理图。

原理图如下:

学生只要按照电路原理图,按步骤插件和焊接,制作数字时钟大多会成功,学生自然就有成功感和喜悦感,接下来对电路的原理,脉冲产生,震荡频率,计时方法等学生都有兴趣,学习起来就会有动力,自然就不会觉得有困难。对难度较大的触发器电路学习就相对较为顺利。本电路在教学时可设置几个时钟常见故障供学生在实操时验证其理论正确性:(1)时钟走时不准,时快时慢。(2)有的数字不显示,或数字缺划。(3)全部没有显示。(4)显示间断等。数字时钟电路制作是一件实用性作品,可以在家庭使用,学生自然注重走时的准确性,在制作时想办法弄明白震荡频率数值的意义(晶振4.0MHZ),进位控制方法(由P0、P1、到P5输出端),七段显示输入控制端(P10到P16端引脚)等功能。

另外,数字电路的扩展学习也是一个核心的内容,例如数字集成电路,时基电路555,广告显示牌电路,电脑集成数字电路,分立发光二极管LED大型数字时钟显示屏,钟楼时钟,广场时钟,工厂各类计数器电路等都是与数字电路相关,教学时这部分电路可以适当结合学生实际情况进行讲授,学生对这部分知识有所了解,在今后的工作中会受益匪浅。

四、选材内容新颖,贴近现代生活

现在的中职生都喜欢时尚,喜欢玩弄电子电器,如手机,MP3播放器,数码产品等,且大多数学生都拥有一件以上的电子产品,手机可以讲现在人手一部,所以在电子教学中要精选一些当前较为实用的且还要迎合学生需求的电子产品、相应电路作为教学内容。例如选用较为常用的多功能稳压电路,手机充电器电路,节能灯电路,MP3播放器电路,液晶电视部分电路等等,学生对这些电路非常感兴趣,有兴趣就有动力。精选这些题材内容教学,较贴近实际生活需要,在讲授时要精讲,重点教会学生设计电路,制作与调试,每制作一个电路都务须要成功。例如学生制作手机万能充电器,要求充电器适应不同的手机进行充电,学生制作成功后,学生觉得好用,实用。这样就会刺激学生的学习热情。如果要制作一件高性能的电路,学生一般都会非常认真投入制作,而且还要精选元件,用心调试电路参数,务求使电路性能达到最佳状态,教学中有一点可以肯定的是在这种模式下学生学习相当认真。

现代科技不断进步,电子电器产品日新月异,产品向多功能、小型化、微型化方向发展,以手机、微型电脑、液晶电视等作为代表,标志着当前科技发展的形势与速度,因此,可以选择这些产品的知识作为教学内容,但在教学过程中可以适当降低理论难度,重点是使学生知道相关的专业知识及其产品基本功能,优点。也可剖析产品的结构,装配工艺等,让学生了解产品制造工艺,性能,该行业领域的科技发展方向,为学生参加工作打下良好基础。

(作者单位:广东江门市新会冈州职业技术学校)

篇(3)

    基础教育课程改革的浪潮滚滚而来,新课程体系在课程功能、结构、内容等方面都较原来的课程有了重大创新和突破。这场改革给教师带来了严峻的挑战和不可多得的机遇。可以说,新一轮基础教育课程改革将使我国的中小学教师队伍发生一次历史性的变化。每一位教师都将在这场变革面前实现新的"蜕变",新的跨越。

中小学美术新课程标准打破了传统美术教学的知识框架,从全新的角度,按学习方式把美术课程分为欣赏·评述,造型·表现,设计·应用,综合·探索四大学习领域,造型表现、设计应用两个学习领域的活动方式强调学生自由表现,大胆设计创造,外化自己的认识和情感;欣赏评述则倾向于内化为文化品位和素质品质:综合探索则强调通过综合性美术活动的体验学习,引导学生主动探求,研究创造,运用综合性知识技能去制作,表现和展示,唤起学生对未知领域的探求欲望,体验愉悦和成就感。从新课标划分的四个学习领域中,我们可以看出,不论是外化能力、内化品质,还是探索未知,体验成功与情感,新课标赋予了新教材很多体验性学习的方式。新课程强调"一切为了学生的发展",从学生的经验出发,教学要向少年儿童的生活世界回归。教学方式由被动的接受式转向探究性学习、自主合作学习。

在新课程中学年级的美术教材中,体验学习的内容占了较大的比例:如"多采的学习生活"是对校园环境、人物以及校园内各种活动的绘画表现,加深对校园丰富多采的学习生活的体验;"教室壁报的编排设计"是对校园丰富多采的学习生活的热爱,培养同学之间相互团结、密切合作的人际关系,是对共同合作获得的成功与快乐的体验;"生活用品"是对日常用品的材质、款式、造型、色彩结构、功能、气味、特性的体验;"环境艺术--雕塑"是对不同材料可塑性的体验;"校园规划","闪亮的星空","艺术欣赏","椅子设计","网页设计",是对合作学习的体验。这些课程内容从不同角度体现了学习主体对个体的体验学习,为学生提供了一个宽泛的体验学习空间,怎样在美术教学活动中实施体验性学习呢?笔者从体验性学习的主客体交融性,体验性学习的实践性,体验性学习注重教材内容的灵活性三个方面做一些浅析,以与大家共同探讨。

一、体验性学习注重主客体的交融性

    学习的过程是主客体交融的过程,就是学习主体对知识客体融会整合的过程。学生作为学习的主体,具有自主性、能动性和创造性,而教材内容则是固定的、静止的,具有自闭性、被动性,甚至排他性;为学生主体增加了障碍和困难;学习主体与教材客体成了一对矛盾。开展体验性学习,教师的作用就是使固定的、自闭的、被动的教材内容活起来,就是根据学生的生理、心理特点与教材自身的功能和内涵,注入体验性学习的机制和方式方法,使教学内容成为开放的,具有趣味的,充满活力的各种学习活动。如在"人体比例"教学中,创设学生相互观察的机会,体验人体的活力与美感;通过观看人体的视觉体验,丰富了学生对人体各种站姿、坐姿、跪姿的认识;通过动手绘画人物全身姿态的写生练习,体验人体结构的和谐美和人体的动态美。使学生获得了成功的愉悦体验,口语交流的体验。有机地创设体验学习情景和体验学习气氛,体验学习的契机。使学生对认知活动产生兴趣,产生爱意,自主地参与到学习活动中去,去体验和感悟,能动地拥抱知识,认识知识,学习主体与知识学习活动融为一体,在主客体的交融体验学习中,知识融入了学生的生命之中,使学生尝试到每一次学习体验都是生命意义的升华和对生命价值的体验,而获得对学习美术的持久兴趣。

二、体验性学习注重学习主体的实践性

    实践是认知的基础,实践是体验性学习的基本方式,体验性学习就是通过丰富多彩的实践活动,充分刺激学生的视觉、触觉、听觉,从而获得感知认识,完成对客体的认知。如在"光明的始者"教学中,学生在把观察、抚摸灯具的过程中,通过手的触觉,体验到灯的实体,灯的外型、质感、功能;通过造型的观察、视觉体验,不但认识了灯,而且发现不同形状的灯与不同色彩的灯的区别;通过动手绘画体验,才发现平面上的灯与生活中的灯有很大的差别,但又互相联系。开展体验性学习活动,是在感知觉体验认识的基础上,进一步调动思维和情感的体验,逐步获得对知识的感悟和认知。再通过动手实践制作的体验,检验感知觉的认识,校正和提升认知思维,再进一步获得创造与成功愉悦的体验。

三、体验性学习注重教材内容的灵活性

    在美术教学中,开展体验性学习的方式多种多样。如果按人体的功能可分为感触觉体验,视觉学习体验,动手实践体验,思维想象体验,情感审美体验。按认知客体内容可分为,对物体媒材性能、特征的体验,对双基知识的认知过程体验,对作品塑造的体验。按体验性学习的类型可分为:触摸感觉体验,视听情景体验,活动参与体验,实践创造体验,情感体验,成功体验,挫折体验,评价交流体验,审美体验等等。

篇(4)

19世纪的她,凭着不屈不挠的心,凭着坚韧不拔的意志,驱散了生命的黑暗,创造了生命的奇迹,谱写了强者之歌!她的名字已成为不屈不挠的象征,成为坚强的代名词。她虽身处黑暗之中,却给人类带来光明,她虽被命运之神夺走了视觉和听觉,却紧紧扼住命运的咽喉,她虽无数次摔倒,却又无数次站起来。她用自己灿烂的微笑告诉人们:生命因坚强而辉煌!她,就是被誉为19世纪最杰出的人:海伦?凯勒!

最近,我们学习了《海伦?凯勒》这篇课文,我被她那不屈不挠的奋斗精神所震撼了。再次翻开语文书,海伦摸读盲文的情景又回到我的眼前:“她不分昼夜,像一块干燥的海绵吮吸着知识的甘霖。她拼命摸读盲文,不停地书写单词和句子。她是这样地如饥似渴,以至小小的手指头都摸出了血。”当别人进入了甜蜜的梦乡时,只有海伦还在书桌前摸读着盲文,时钟不知走了多少圈,可海伦在知识的引领下,不停地学,不停地摸。就这样,几千个日日夜夜过去了,她一步一步踏出了一条光明之路,一步一步向成功迈去。

翻开历史长河,古今中外有多少人虽身处逆境却攀上了光辉的顶点!有多少人跨越困难到达了成功的彼岸!他们用事实证明:任何困难堡垒在顽强意志面前都会灰飞烟灭的。张海迪在残酷的命运挑战面前,以顽强的毅力在轮椅上唱出了高昂激越的生命之歌,在书的扉页上写下了“生命之树常青”;台丽华虽遭到聋哑之痛,却在舞台上将“千手观音”演绎到了绝美境地;霍金只有三只手指有知觉,但思维却飞出了地球,飞出了太阳系,飞出了银河系,飞到了百亿光年外的宇宙深处,飞向了神秘莫测的黑洞,成了当代的爱因斯坦;贝多芬虽耳聋,却扼住了命运的咽喉,用生命去聆听,创造了流传至今的第九交响乐,成为了天地间的英雄。他们像一盏盏明灯,凭着坚强不屈,点亮一个个心灵,他们历经挫折与磨难,使命运之神屈服了,从弱者渐渐走向强者,成就了辉煌人生。

再想想我们的生活,我们健全人有着一切都是他们所希望拥有的,唯一少的就是那一份面对困难的勇气,只要我们有着不屈不挠的奋斗精神,光明大道同样也会为我们敞开!

记住,生命因坚强而辉煌!

篇(5)

伴随着特殊教育新课程即将实施,积极推动培智数学学科的健康发展,以培智学生的发展为基点,探索适合培智数学课程实施的新的途径,结合自身教学实际谈一谈培智数学课程建设的几点思考.

一、尊重数学的学科性

学校一直以来使用的是上海市卢湾区辅读学校的生活数学教材.而此套教材具有很强的地方特色,加上学生情况的个体差异,在具体的实施过程中存在很多的困难.同时由于学校实行“个别化教育”,课程的教学内容要从学生长短期目标中提取,这就造成了教师在进行教学时,教学内容前后关联性较弱,打破了数学的学科体系.但是作为教师,我们应该要重视学科知识体系,虽然智力障碍儿童的发展较普通儿童来说相对缓慢,但是我们要遵循“中重度智障儿童数学课程框架模式图”进行教学.横向生活体系的建立遵循生活化教学原则,以学生真实的家居生活、学校生活、社区生活为主,安排教学内容和学习材料;纵向知识体系的建立遵循可接受性教学原则,按照中重度智障儿童身心特点、认识规律,逐步提升数学知识,丰富学习内容.

二、注重培智数学与其他学科融合

在培智学校的数学教学中,要不断加强数学学科与其他学科的融合,以促进学生的健康、全面发展.例如培智数学可以将数学与美工课程联系起来,鼓励学生动手开展实践活动.比如,在进行图形识别教学时,教师可以将美工教学中的三角形、圆形等图形绘画训练引入到数学课堂中.让学生选择几样图形,然后进行绘画,画好后涂上自己喜欢的颜色并裁剪下来,最后让学生对自己的作品进行讲解.这样不但锻炼了学生的实践能力,还促进了学生美工知识、语言表达能力以及数学图形识别能力的提高.

三、充分利用真实情境进行教学

学习数学知识是为了更好地为生活服务,应用于生活,学以致用.理论联系实际对教学来说是行之有效的方法,尤其是对于智障儿童来说,与具体实践相结合是提高教学质量的有效手段.虽然,教师在课堂教学中会运用到“情景教学法”,创设各种模拟的环境供学生们进行学习,这种模拟的环境是单纯的、被预先设置好的,是在教师的掌控下进行的.但是真实情景往往会更加复杂,它对孩子不仅有“数学技能”上的要求还会有“言语沟通”、“礼仪”等等各方面的要求,而往往模拟情境只能帮助孩子获得技能,却无法帮助他们有更全面的学习.如在学习“使用人民币购物”时,教师可以将“超市”搬入课堂,但是教师无法创设真实的沟通交流环境,因此会导致学生学会了技能,但可能真正购物时却又出现别的问题,如沟通交流问题,而影响其生活.因此教师在教学中不应拘泥于课堂形式,而应当充分利用真实情境进行教学,以此贯彻生活化教学法,培养学生的实际动手能力,让学生切切实实地掌握技能,并运用到生活中.因此教师应通过对现实生活中真实事件和问题的分析、体验与探索来进行教学.在教学过程中,教师要呈现真实的问题、真实的事情、真实的情景,为他们解决问题与探究新知识提供工具与材料,帮助他们搭建起新知识的概念体系.

四、数学课堂注重动手操作,直观感受

由于培智学校学生对于抽象概念的感知和理解能力相对较差,数学教师如果仅仅依靠口头讲解,是很难达到理想的教学效果的.因此,教师应该经常让学生自己动手,通过直观的方式来理解数学知识.比如在进行时间的识别教学时,教师可以在课堂上准备一个可以调适的时钟模型,在讲解完时间的识别理论以及时针、分针和秒针的转动规律和功能后,可以让学生分别亲自动手调适自己想设定的时间,并让其他学生来指认时钟所指时刻,最后由数学教师来评判谁正确.通过这样的方式,学生亲自动手以直观的形式体会时钟上时间识别的技巧,丰富学生数学知识的同时提升了他们的实践能力.

五、家校联动实施主题式数学教学

培智学生学习的最终目的是回归主流社会,为了在教学中实施主题式教学,必须让学生家长参与进来,学校通过家长学校、家长会、亲子互动等形式对家长进行生活化主题教学实践宣传,要求家长全力配合学校和班级对学生生活自理等方面能力进行家庭实践和有效评价并及时向老师反馈.通过家校联动实施实践教育,创建和谐教育.

六、树立正确的课程意识

篇(6)

关键字: FPGA; UART; 多模块; Verilog; Xilinx ISE

中图分类号: TN911?34 文献标识码: A 文章编号: 1004?373X(2013)13?0071?03

Realization of asynchronous serial communication based on FPGA

TIAN Le1, ZHANG Yong2

(1. School of Electronic and Information, Xi’an Polytechnic University, Xi’an 710048, China;

2. Xinjiang Xinhua energy R & D center in Xi’an Electric Co., Ltd., Xi’an 710304, China)

Abstract: In order to adapt to the widely applications of full digital automatic control, a multi?module system is designed with FPGA for UART, to make the integration level of the serial communication higher. The UART system structure is discomposed into three modules: FPGA baud rate generator control module, FPGA data sending module and the data receiving module. The hardware functionality is described by Verilog language. By using FPGA chip of Xilinx, the design, compiling, synthesis and download could be completed in Xilinx ISE Design Suite 13.4 environment. The simulation is conducted by ModelSim.

Keywords: FPGA; UART; multi?module; Verilog; Xilinx ISE

异步串行通信(UART)是一种广泛应用的串行数据传输协议,UART[1?3]的要求是传输线少,可靠性高而且传输距离远。UART功能负责从总线采集数据,转换成传输格式,然后发送到串口。也负责从串口接收数据,检查和删除附加的位,并传送结果数据给总线。异步串行通信广泛的应用于军事、工业、自动控制、仪器、通信、医药、话音图像处理等众多领域[4?6]。现实应用中多采用专用集成芯片实现UART功能,如8250、8250A、16450、16C451、16C551等[7]。但在实际应用中,一般只需要UART的几个主要功能,专用芯片成本比较大且造成资源的浪费[8?9]。近年来随着电子设计技术的日趋成熟,特别是FPGA在集成度和速度上的大幅提高,可以用一个芯片构成一个复杂的系统。一个芯片就能完成处理计算、通信、控制等多功能,而且成本大幅度降低[10?11]。根据以上考虑,本文采用FPGA实现UART 功能。

1 UART通信原理

串行通信分为两种类型:同步通信方式和异步通信方式。UART即通用异步串行通信收发器。UART的一帧数据由起始位、数据位、奇偶校验位和停止位组成。数据在传输过程中是通过一个字符接一个字符进行传输来实现通信的。每一帧的信息在传送前传输线处于高电平状态。在传送一个字符信息的时候,每一个字符的接收是靠起始位来同步的,字符的前面是一位起始位,首先发送起始位为低电平,一般用下降沿通知收方传输开始,然后发送起始位之后的数据位,数据位的传送先低位后高位,字符本身由5~8位数据位组成。

数据位后面是奇偶校验位,奇偶校验位后的是停止位,标志着一帧字符结束。停止位后为高电平,为空闲位,并为下一个字符的开始传送做准备。在发送、接收数据时,数据的帧与帧之间如果有间隙,就要在停止位之后附加空闲位,停止位后面是不定长度的空闲位。停止位和空闲位都规定高电平,这样可以保证起始位开始处有一个下降沿。如图1所示。

图1 UART的一帧数据

1.1 系统设计与硬件实现

异步通信允许在串行链路上进行全双工的通信。本文把异步通信电路作为一个整体来实现,数据接收经过MAX232进行电平转换后经FPGA串口模块的RXD端输入,由FPGA进行串/并转换后,8位有效数据进入总线,再进入处理芯片。数据发送经数据处理后经由总线进入FPGA串口模块,8位有效数据在FPGA中进行并行转换为串行数据后由TXD端输出到MAX232的12管脚,在MAX232种经过电平转换后由TXD端输出,系统基本结构框图如图2所示。

图2 系统基本结构框图

帧格式采用1位开始位,8位数据位,1位停止位,波特率为115 200,根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,然后等候下次的发送。

1.2 FPGA波特率发生器模块

串口通信,就是RS 232/RS 485通信,要求通信的双方波特率等通信格式一样才可以通信成功。在电子通信领域,波特率(Baud Rate)即调制速率,指的是信号被调制以后在单位时间内的变化,即单位时间内载波参数变化的次数。它是对符号传输速率的一种度量,1 Baud即指每秒传输1个符号。在基于FPGA实现通用异步通信时,波特率发生器是一个必不可少的部分。波特率发生器实际上就是一个分频器,可以根据给定的系统时钟频率和要求的波特率算出波特率分频因子,算出的波特率分频因子作为分频器的分频数从而产生所需的时钟频率。在设定发送和接收使用相同的波特率的情况下,UART只需要一个波特率发生器就可以了。

系统提供的时钟频率为10 MHz,数据信号的传输速率为115 200 Hz,波特率发生器产生的时钟对数据信号进行采样,设定对传输的数据信号进行3倍采样,则波特率发生器产生的频率为:

3×115 200=345 600 Hz

那么就可以根据给定的系统时钟和要求的波特率计算出分频因子:

1×107/345 600=28.94

对时钟进行28.94分频是很难实现的,因此取整数30作为分频因子。尽管这样会使得分频得到的时钟会比所需的 345 600 Hz高3.5%,但这样微小的变化不会影响实际电路的工作。其仿真图如图3所示。

1.3 FPGA数据发送模块

发送过程就是把并行总线上的数据发送到串行总线上。数据发送的过程可以分为空闲状态、加载状态、发送状态和发送完成状态。空闲状态就是UART内核复位后的空闲状态,等待加载状态。UART进入空闲状态后,当检测到发送控制信号有效时,就进行加载状态并开始进行数据的加载。加载状态就是发送模块按照串行发送的顺序将要发送的这一帧数据加载到移位寄存器内,将移位寄存器和计数器都复位,使移位寄存器和计数器的工作时钟为系统时钟。当计数器达到了计数的上限时,它会产生一个指示信号通知UART内核进入发送状态。UART内核状态机进入发送状态的同时要改变相应信号,这时移位寄存器时钟必须为波特率时钟,最重要的是将输出信号送到RS 232的发送端口TXD上。在发送状态,也需要计数器的控制,当计数器达到了计数的上限时,它会产生一个信号通知UART内核进入发送完成状态。当UART内核状态机进入发送状态后,并产生发送完成中断信号。这样就可以把数据从串行端口上发送出去了。发送数据和接收数据的状态转换图如图4所示。

图3 波特率发生器30分频

图4 发送数据和接收数据的状态转换图

发送一帧数据的部分程序如下:

′send :

begin

if(cnt>=8′h21) //cnt=33

nstate

else

begin

case(state)

bit0 : begin txd

bit1 : begin txd

bit2 : begin txd

bit3 : begin txd

bit4 : begin txd

bit5 : begin txd

bit6 : begin txd

bit7 : begin txd

bit8 : begin txd

bit9 : begin txd

bit10 : begin txd

endcase

end

发送一帧数据的仿真结果如图5所示。

1.4 FPGA数据接收模块

数据的接收与数据的发送相比要复杂些。在数据的接收过程中,UART的接收模块首先要进行的是对起始位的检验。一般当接收的第一个是低电平,就标志着一个数据帧的开始,但是在一个数据帧中间也有可能包括—个低电平的位,系统有可能默认为这个低电平为起始位,这样就会产生一个“假的起始位”。所以,在数据接收的过程当中还要包括对数据起始位的判断。所以数据的接收过程可以分为空闲状态、起始位检测状态、数据位读取状态、读取停止状态、准备完成接收和停止位读取状态。在判断起始位低电平后,触发接收过程,进入数据位读取状态使串行总线的输入数据不断被读取并且保存在寄存器内,计数器也到达计数的上限时,接收完成之后并进入准备完成接收状态,UART控制器会在输出结果之前对已经接收的数据进行奇偶校验。然后进入停止位读取状态。在每一种状态,如果使能信号无效时都转回空闲状态。最后UART 控制器准备下一次的数据接收并重置控制器内的信号检测器。

图5 发送一帧数据

在接收数据过程中,会有一些其他原因使信号产生反转。为了消除毛刺,在接收数据时,采用3选2的方法消除误差。也就是这个字符的值是至少有两次采样的值相等的值。

采用3选2方法接收数据的程序如下:

always @( posedge clk)

begin

if(pstate==′read_data)

begin

d1_out[i]=rxd ;

i

if(i==3′b11)

begin

if( d1_out[0]==d1_out[1])

d_out[i1]

else if ( d1_out[0]==d1_out[2])

d_out[i1]

else

d_out[i1]

i

i1

end

end

end

接收一帧数据的仿真结果如图6所示。

图6 接收一帧数据

在波特率为115 200情况下对UART进行仿真,结果如图7所示。通过仿真波形可以看出,设计结果正确地发送和接收一帧数据并产生相应的中断。

图7 接收一帧数据和发送一帧数据

2 结 论

本文介绍了一种基于 FPGA实现异步串行通信功能,采用多模块的方法实现异步串行通信功能,可以方便用户根据需要进行裁减、便于理解和调试。本文运用Verilog语言进行设计异步串行并行收发器的 IP核电路。

用FPGA 实现UART 功能,充分利用了FPGA的剩余资源,相对于专用UART芯片,减小系统PCB板的面积,降低系统的功耗,提高系统的稳定性。近年来在电子设计领域中,这种硬件软件化的方法已经成为一种流行趋势。该设计采用Xilinx公司的Spartan3E系列中器件XC3S100E。通过 ISE软件进行时序仿真和硬件测试各项通信指标均满足要求,整个设计的正确性和完整性得到验证,各项功能均达到预期的要求。

参考文献

[1] 杜慧敏,李宥谋,赵全良.基于Verilog的FPGA设计基础[M].西安:西安电子科技大学出版社,2006.

[2] 何燕.51单片机与FPGA的UART通信模式研究[J].电脑知识与技术,2009(21):5873?5875.

[3] 谢宏,葛棋棋.脑电信号无线采集系统设计[J].现代电子技术,2010,33(18):21?24.

[4] 王伟.Verilog HDL程序设计与应用[M].北京:人民邮电出版社,2005.

[5] 夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天大学出版社,2003.

[6] 曹会华,贺占庄.基于有限状态机实现全双工可编程UART[J].计算机技术与发展,2007,17(2):53?55.

[7] 汪灏,郭二辉.通用异步串行收发器UART核在DSP芯片中的设计与实现[J].中国集成电路,2011,20(3):36?39.

[8] 刘浩淼,卞树檀.基于CPLD的RS 232串口通信实现[J].电子设计工程,2011(12):153?155.

[9] 李洋洋,吴武臣.基于断言的验证方法在UART模块中的应用研究[J].微电子学与计算机,2012(1):151?153.

篇(7)

成长中的故事犹如满天星,其中也有的像黄河水一样流向了远处,但又一件事深深地印在我的脑海里。

打开记忆的大门,回想起那件事。

在我13岁那年时的一个秋季。一天,我早早的起了床,因为今天是我的生日嘛!吃完早饭,便去了学校。上学的路上,一些认识我的人见了就问:“今天怎么这么高兴?吃开心果了吗?”我答道:“没有啊,就是今天天气不错,心情自然也不错喽!”到了学校里,开开心心地上完早读。下课时,同学们都过来和我说:“生日快乐!”好高兴啊!他们还送了礼物给我呢!一天就在我愉悦的心情中度过了。回到家,不经意间看到了桌子上的一个蛋糕。不等我开口问,奶奶便把话抢了过去:“你看,为了给你过生日,你爸爸还特意给你买了一个蛋糕。”好激动啊!爸爸竟然还给我准备了蛋糕。晚上,我们一家聚在一起,为我开了一个生日party。插了蜡烛的蛋糕放在了桌子上,隐隐约约的烛光让我看到了爸妈头上的缕缕银丝。爸妈老了,他们为我付出了多少心血啊,以前我是任性,现在我终于读懂了爸妈的爱。从今以后,我一定不会让爸妈生气。“婷婷,该许愿了。”妈妈的一句话把我带回了现实中。我含着泪,许了一个愿望,(这个愿望暂时不告诉你们,保密吧!)之后,我吹灭了蜡烛,开始切蛋糕了。时间过得真快,钟表已经指向了10点,我们只好收拾桌子。收拾完桌子,我便依依不舍的去睡觉了。

生活中并不是事事顺心,“万事如意”,“一帆风顺”这些吉祥语不可能实现,而是人们对美好生活的象征。生活并不是风调雨顺,那些经受不住磨练的人是达不到成功的终点的。

随着年龄的增长,身高的增长,烦恼也不期而致。它们拉帮结派,一起来扰乱我们的生活。